Two Plus Two Newer Archives  

Go Back   Two Plus Two Newer Archives > Tournament Poker > STT Strategy
FAQ Community Calendar Today's Posts Search

 
 
Thread Tools Display Modes
Prev Previous Post   Next Post Next
  #1  
Old 10-02-2007, 02:34 AM
vers vers is offline
Senior Member
 
Join Date: Apr 2007
Location: Kingston/Toronto
Posts: 1,793
Default $33 lvl 1, non-standard line

I'm not sure why I played the hand the way I did (checking turn). I guess I was going for pot control, realized his min bet is super weak and got in a nice CR. Riv I checked for pot control as well, also not sure where I was at in the hand.

Full Tilt Poker
No Limit Holdem Tournament
Blinds: t15/t30
8 players
Converter

Stack sizes:
UTG: t1500
UTG+1: t1500
MP1: t1500
MP2: t3015
CO: t1500
Button: t1500
SB: t1485
vers: t1500

Pre-flop: (8 players) vers is BB with K[img]/images/graemlins/spade.gif[/img] Q[img]/images/graemlins/heart.gif[/img]
3 folds, MP2 calls t30 <font color="aaaaaa">(pot was t45)</font>, CO folds, Button calls t30 <font color="aaaaaa">(pot was t75)</font>, SB folds, vers checks.

Flop: Q[img]/images/graemlins/spade.gif[/img] 7[img]/images/graemlins/diamond.gif[/img] 4[img]/images/graemlins/heart.gif[/img] (t105, 3 players)
<font color="#cc0000">vers bets t50</font>, MP2 folds, Button calls t50 <font color="aaaaaa">(pot was t155)</font>.

Turn: 2[img]/images/graemlins/diamond.gif[/img] (t205, 2 players)
vers checks, <font color="#cc0000">Button bets t30</font>, <font color="#cc0000">vers raises to t150</font>, Button calls t120 <font color="aaaaaa">(pot was t385)</font>.

River: 7[img]/images/graemlins/heart.gif[/img] (t505, 2 players)
vers checks, <font color="#cc0000">Button bets t210</font>, vers calls t210 <font color="aaaaaa">(pot was t715)</font>.

Results:
Final pot: t925

Villain shows J7o so I read well but played teh hand weird.
Reply With Quote
 


Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off

Forum Jump


All times are GMT -4. The time now is 04:39 AM.


Powered by vBulletin® Version 3.8.11
Copyright ©2000 - 2024, vBulletin Solutions Inc.